Welcome![Sign In][Sign Up]
Location:
Search - msp430 s

Search list

[OtherTDC_GP2

Description: msp430与TDC-GP2的Spi口通信程序-It s a programs for the communications between MSP430 and TDC-GP2.
Platform: | Size: 2048 | Author: chucheng | Hits:

[SCMWireless_interface_using_MSP430

Description: 基于TI的MSP430处理器控制CC1100-CC2500射频芯片的代码,包括发送和接受端,使用IAR开发环境。-Based on TI' s MSP430 processor controlled radio frequency chip CC1100-CC2500 code, including the sending and the receiving end, the use of IAR development environment.
Platform: | Size: 507904 | Author: chernwu | Hits:

[SCM18b20

Description: 原创温度传感器18B20的驱动程序,基于TI公司msp430单片机,程序基于方便移植设计,只要稍加修改即可使用,用英文注释,非常详细-/* temperature sensor 18B20 module for msp430*/ /*author:Zhou Qiang */ /*university:UESTC */ /*time:2009,6,5 */ /***************************************/ /************************************************************* Introduction This program was designed for TI s msp430 MCU,but not limitted to it, you can easily pick up this program by just modify the flowing: 1、macros at the beginning 2、Delay_us() function 3、nothing more... You should write you application in the flowing order: 1、Configurate 18b20 by call Config_18b20() 2、Acquire float tmp data by call Get_tmp() 3、this project still under developing,nothing more you can use... Next to do: 1、more 18b20 on 1 bus 2、implement more ROM functions 3、reading datasheet of 18b20 carefully 4、optimize it working faster 5、auto power supply detect,the program should adjust to it 6、
Platform: | Size: 36864 | Author: 周强 | Hits:

[SCMmsp430-fuzzycontrol

Description: 基于TI的低功耗微处理器MSP430的模糊逻辑控制参考。-Based on TI' s MSP430 low-power microprocessor reference to the fuzzy logic control.
Platform: | Size: 63488 | Author: 黄晓东 | Hits:

[SCMIAR

Description: AVR(R) IAR Embedded Workbench(R) IDE用户手册的这部分包括以下章节: 创建一个应用工程; 使用 IAR C-SPY调试器进行调试; C 与汇编语言模块混合; 使用 C++; 模拟一个中断; 使用库模块;-IT S A good book ti s about IAR study. AVR(R) IAR Embedded Workbench(R) ID
Platform: | Size: 6133760 | Author: 王一凡 | Hits:

[SCMisd17240_mspf5438

Description: 华邦语音芯片isd17240的msp430f5438的SPI口程序,容易移植给各位参考-winbond isd17240 SPI control C code,based on msp430f5438,it‘s easy to transplant。
Platform: | Size: 38912 | Author: 周强 | Hits:

[Software Engineering18b20_MSP430

Description: 很好的18b20程序,muc是ti公司的低功耗单片机MSP430f149,加1602显示的,可以直接用-it‘s a code which based on the chip of msp430f149,with the lcd of 1602
Platform: | Size: 48128 | Author: 杜峰 | Hits:

[ARM-PowerPC-ColdFire-MIPSmsp430

Description: msp430单片机的示例程序 极其经典-msp430 examples it s very classic
Platform: | Size: 136192 | Author: 俞孙皓 | Hits:

[SCMPWMCtrl

Description: 基于TI公司的MSP430单片机的PWM调速程序-Based on TI' s MSP430 MCU PWM speed control process
Platform: | Size: 61440 | Author: qq | Hits:

[SCMUSCI_Operation

Description: TI MSP430 USCI模块操作 里面包含多个案例 C语言 IAR环境-TI MSP430 USCI module operation which contains a number of cases C language environment IAR
Platform: | Size: 82944 | Author: Joe | Hits:

[Embeded-SCM Develop10

Description:
Platform: | Size: 73728 | Author: | Hits:

[ARM-PowerPC-ColdFire-MIPS13_ADC12

Description: msp430的自带AD,ADC12的程序,所用开发环境为IAR,芯片为msp430x14x系列-msp430' s own AD, ADC12 process, development environment used for the IAR, chips for msp430x14x series
Platform: | Size: 20480 | Author: 吴贵锋 | Hits:

[SCMm430_resources

Description: 有关msp430资料包含msp430中文资料手册,MSP430原理与应用教程课件配套实验例程,msp430讲义(南京航空航天大学),MSP430单片机的使用方法及技术要求,《MSP 430 单片机 在实际应用中的设计方案》这几部分-Information relating to msp430 manual contains information msp430 Chinese, MSP430 Tutorial courseware and application of the principle of matching the experimental routine, msp430 notes (Nanjing University of Aeronautics and Astronautics), MSP430 MCU and the technical requirements to use, " MSP 430 in the practical application of single-chip design of " It' s several parts
Platform: | Size: 4096000 | Author: gao | Hits:

[SCMtempsensor

Description: MSP430内部的温度传感器的测试内部温度应用程序-MSP430' s internal temperature sensor applications
Platform: | Size: 19456 | Author: Raymond | Hits:

[Communication-Mobileirig

Description: irig-b 单片机 解析 MSP430系列单片机是集成度高、超低功耗的16位单片机。Cyclone系列芯片是Altera公司推出的低价格、RAM可达288 kb的高容量的FPGA。IRIG-B码广泛应用于靶场时间信息的传递和各系统的时间同步。详细介绍了IRIG-B码解码电路和调制电路的硬件设计。MSP430的软件采用C语言编写,使程序有很强的可移植性。-irig-b microcontroller MSP430 Microcontroller analysis are highly integrated, ultra-low-power 16-bit microcontroller. Altera' s Cyclone series of chips are launched in low-cost, RAM up to 288 kb of high-capacity FPGA. IRIG-B time code range is widely used in the transmission of messages and the system time synchronization. Details of the IRIG-B decoding circuit and modulation circuit of the hardware design. MSP430 software using C language, so that programs are highly portable.
Platform: | Size: 11264 | Author: JEFF | Hits:

[Embeded-SCM Developmsp430rilixitong

Description: msp430 日历系统的实现 日历芯片s-3505a msp430f149 电源tps70630 复位监控max809 led显示-msp430 calendar system, implementation of the calendar chip s-3505a msp430f149 Power tps70630 Reset Monitor max809 led display
Platform: | Size: 3072 | Author: JEFF | Hits:

[Other Embeded programtimerB

Description: msp430的16位定时器B基本程序 里面有11个小程序 使你在最短时间呢对定时器B的功能做到轻松上手-msp430' s 16-bit timer B the basic procedures for which there are 11 small programs so that you do in the shortest possible time, the function of the timer B be easy to get started
Platform: | Size: 10240 | Author: liyan | Hits:

[Other Embeded programI2C

Description: msp430的I2C基本程序 里面有11个小程序 使你在最短时间呢对I2C的功能做到轻松上手-msp430' s I2C basic procedures inside 11 small program allows you to do in the shortest possible time, the function of the I2C be easy to get started
Platform: | Size: 21504 | Author: liyan | Hits:

[SCMMSP430USB

Description: 这个是TI公司的高级单片机的仿真器资料,通过本资料的学习,学者可以通过自己动手做出好用的仿真器,给430单片机学习者提供了节省学习成本。-This is TI' s advanced microcontroller emulator information, through this information, learning, and scholars can make yourself easy to use emulator to the microcontroller 430 provides the learners to study the cost savings.
Platform: | Size: 435200 | Author: liuzhenghong | Hits:

[uCOS430_UCOS

Description: 这个是msp430的ucos源码,已经移植好,可以直接使用-This is msp430 s ucos source code has been transplanted, and can be used directly
Platform: | Size: 139264 | Author: taolin | Hits:
« 1 2 3 4 56 7 8 9 10 ... 23 »

CodeBus www.codebus.net